Fluke logo

Temperature Solutions for Semiconductor Production

Get the accurate temperature measurements you need to for every step of the circuit board manufacturing process.

Polysilicon Growing

Complete Form* to Speak with Expert

*By filling in the form, here’s what you can expect:

  • Get a phone call and/or email from our Regional Sales Manager
  • Get answers to all your questions
  • Get a 1-on-1 consultation scheduled at a time that works best for you, whether you need support in-person or virtually.

Complete Form* to Speak with Expert

*By filling in the form, here’s what you can expect:

  • Get a phone call and/or email from our Regional Sales Manager
  • Get answers to all your questions
  • Get a 1-on-1 consultation scheduled at a time that works best for you, whether you need support in-person or virtually.
Fluke logo

Who we are

Fluke Process Instruments is everywhere you need us with offices in the U.S., U.K., Germany, China, Japan, Singapore, and India– as well as a network of trained representatives and service centers in more than 100 countries–Fluke Process Instruments offers a robust portfolio of industrial temperature measurement tools and customized software solutions to improve your unique process. 

Whether we’re supporting you through innovative application solutions, installation and technical support, or ongoing maintenance, our mission is to ensure that everything regarding your process is the precise temperature you want it to be. For more information check out our Corporate Brochure.

Fluke Near Me

Who we are

Fluke Process Instruments is everywhere you need us with offices in the U.S., U.K., Germany, China, Japan, Singapore, and India– as well as a network of trained representatives and service centers in more than 100 countries–Fluke Process Instruments offers a robust portfolio of industrial temperature measurement tools and customized software solutions to improve your unique process. 

Whether we’re supporting you through innovative application solutions, installation and technical support, or ongoing maintenance, our mission is to ensure that everything regarding your process is the precise temperature you want it to be. 

Copyright ©2020 Fluke Process Instruments. Privacy Policy | Terms of Use

Connect with us

Fluke facebook
Fluke linkedIn
Fluke YouTube
Fluke Twitter
Fluke Instagram

Connect with us

Fluke facebook
Fluke linkedIn
Fluke YouTube
Fluke Twitter
Fluke Instagram

Connect with us

By monitoring your process continuously, you can maintain consistent rod temperatures with ease.

Consistent and accurate temperature monitoring and control plays a crucial role in nearly every step of the manufacturing of semiconductors. Fluke Process Instruments offers both non-contact temperature measurement and thermal profiling solutions with robust software options so you can confirm your product is being evenly heated or soldered correctly with fewer rework rates, ensure that your oven process is operating at maximum efficiency for both quality control and throughput, and much more. 

As part of the polysilicon growing process, you know that as each rod increases in diameter, the power must also be increased to maintain temperature; and if the power is increased too much, the rods will melt and the process must be stopped and started again.

Fluke Process Instruments offers several measurements tools designed to continuously monitor your process so you can easily maintain consistent rod temperatures. 

Options include: 

  • Spot sensors such as the Endurance SeriesThermalert 4.0 or Mi3-100 – These options utilize a single wavelength and are less affected by silicon coating
  • Endurance two-color pyrometers – This option is ideal when filling the entire sighting field is not necessary or when operating in explosion-risk environments. 
Fluke Process Instruments offers several measurement tools designed to continuously monitor your process so you can easily maintain consistent rod temperatures. Products can be used because you don’t have to fill the entire sighting field to measure rod temperature and, in some instances, can be used with explosion-proof housing when Hydrogen gas is present.

Atomic Layer Deposition

Ensure product quality with targeted edge-to-edge infrared temperature measurements.

Like most applications in the circuit board manufacturing process, the right solution for you depends on your process and how it is setup. For atomic layer deposition (ALD) processes, temperature uniformity is critical in ensuring product quality and for most of these processes, we recommend:

  • The MP Linescanner Series – This solution can look through slits or openings at the top of reaction chamber and alert you of any non-uniformities, hot spots or when temperatures go beyond set parameters.

Molecular Beam Epitaxy

Control your process temperature while it evolves with non-contact infrared sensors.

After the crystal growth has been properly coated, ensuring that the final product has been heated appropriately is critical. Although we cannot measure product temperature while molecular beams are being fired, as the layer causes major emissivity changes that most sensors cannot handle, our solutions include:

  • Fixed infrared sensors – These non-contact solutions help ensure the final product has been correctly heated after the molecular beam epitaxy process is completed. Your unique process will dictate the right infrared sensor for you, which our team of experts can help determine after hearing more about your process.

Wafer Annealing

Our team of experts will work with you to fully understand your process and needs before suggesting a specific solution.

Once the CVD process is complete, the wafers must be heat treated through processes using quartz tube lamps, induction heating or resistance coating.

  • There is no “one-size-fits-all” solution beyond a non-contact infrared temperature sensor. At Fluke Process Instruments, we have the expertise and experience to help you identify the best pyrometer to monitor your wafter annealing process.

Reflow

Optimize your soldering process to minimize downtime and ensure minimal reject rates.

In any reflow process, it is critical that the product reaches and maintains a specific temperature to ensure minimal reject levels. Whether you’re using traditional wave and reflow soldering applications or using applications like vapor phase, selective soldering and rework stations, we recommend:

  • The Datapaq DP5 Reflow Tracker System – Optimize your soldering process by ensuring your reflow oven is performing in the best conditions and minimize defects with a system that provides validity for quality control and consistent traceability before moving further down the line.

Circuit Board Testing

Quickly detect faulty solder joints to ensure quality with fixed thermal imaging technology.

Ensure every final product is operating at 100% with near-instant results.

  • The ThermoView TV40 Thermal Imager can be used to detect faulty solder joints nearly instantly once a voltage is applied to the grid. You can also be alerted when specific areas are beyond your set parameters, helping to automate the process.
Another form of CVD processing is atomic layer deposition (ALD), which bakes the reactants (or precursors) onto the wafer surface in sequential steps to create time-separated half reactions. The ALD process is also self-limiting, meaning that absorption stops once the entire wafer is covered by the precursor during each half reaction to ensure uniformity. your ideal solution depends on your process and how it is set up. For most ALD processes, we recommend the MP150 Linescanner, which can be installed on top of the reaction chamber to look through any slits or openings for non-uniformities or hot spots on the product. The MP150 Linescanner also includes the ability to alert you when temperatures are beyond set parameters and can be programmed to calculate average, maximum or minimum temperatures.
This process takes place in an ultra-high vacuum, which reduces carbon contamination in the reaction chamber and can result in a grown crystal with an extremely high purity. Because the product is fixed, albeit rotating, an infrared sensor is a non-contact solution that can help ensure the final product has been heated appropriately. Unfortunately, in this application, we cannot measure the product temperature when the molecular beams are being fired, as the layer causes major emissivity changes that the sensor cannot handle.
There are multiple different ways that the wafer can be heat treated depending on your process and facility – including quartz tube lamps, induction heating, and resistance coating. in some applications after the wafer its heated and cooled down slowly, which anneals any of the stress that may build up in the wafer. In this instance, most sites are concerned about uniform heating across the wafer, as if it overheats it may warp and be destroyed. How this process is performed can also impact the appropriate sensor for your process.
The last step in manufacturing a circuit board is testing final product to ensure everything is operating at 100%.  One way to quickly test circuit boards is with a fixed thermal camera, which provides near instant results once a voltage is applied to grid. The ThermoView TV40 Thermal Imaging Camera, for example, also provides infrared and visual sighting, allowing you to easily locate the problem area.
After the wafer (circuit board) has been etched, polished and heat treated, it must be cut out, soldered and undergo the reflow process. In this application, it is crucial that the product reaches and maintains a specific temperature through the entire process. If the product goes above or below the specified temperature threshold there will be problems with the circuit board, and it will be scrapped.
Maximize cell efficiency and throughput without compromising yields in the semiconductor applications and industries

Temperature Solutions for Semiconductor Production

Get the accurate temperature measurements you need to for every step of the circuit board manufacturing process.

Consistent and accurate temperature monitoring and control plays a crucial role in nearly every step of the manufacturing of semiconductors. 

Maximize cell efficiency and throughput without compromising yields in the semiconductor applications and industries

Fluke Process Instruments offers both non-contact temperature measurement and thermal profiling solutions with robust software options so you can confirm your product is being evenly heated or soldered correctly with fewer rework rates, ensure that your oven process is operating at maximum efficiency for both quality control and throughput, and much more. 

Polysilicon Growing

By monitoring your process continuously, you can maintain consistent rod temperatures with ease.

As part of the polysilicon growing process, you know that as each rod increases in diameter, the power must also be increased to maintain temperature; and if the power is increased too much, the rods will melt and the process must be stopped and started again. 

Fluke Process Instruments offers several measurements tools designed to continuously monitor your process so you can easily maintain consistent rod temperatures. Options include: 

  • Spot sensors such as the Endurance SeriesThermalert 4.0 or Mi3-100 – These options utilize a single wavelength and are less affected by silicon coating
  • Endurance two-color pyrometers – This option is ideal when filling the entire sighting field is not necessary or when operating in explosion-risk environments. 
Fluke Process Instruments offers several measurement tools designed to continuously monitor your process so you can easily maintain consistent rod temperatures. Products can be used because you don’t have to fill the entire sighting field to measure rod temperature and, in some instances, can be used with explosion-proof housing when Hydrogen gas is present.

Crystal Growing

Keep temperatures under your control with products designed to integrate with your process.

For crystal growing applications, multiple parts rely on temperature control. This includes:

  • Crucible Temperatures – Monitor coils by using a fixed, two-color pyrometer like the Endurance or Mi3-100 series, which can gather accurate temperature readings despite any reflections.
  • Melt Temperatures – The best tool for this application varies based on your process. In most instances, however, a two-color pyrometer like the Endurance is suitable for monitoring the polysilicon ingot as it melts.
  • Crystal Diameter Control – Ensure your final product is defect-free as it is pulled upward by using an Endurance Series or Mi3-100 pyrometer, depending on how your process is set up.

Note: Fluke Process Instruments also offers a fiber-optic Endurance sensor that may be suitable for your application. Overall, it comes down to how your process is setup, you needs and what can best integrate with your process.

The first place that relies on temperature control is the crucible. In this application, temperature can be controlled by monitoring the coils to measure the crucible temperature. The second spot that relies on temperature control is the melt temperature. Here, the polysilicon ingot begins to melt and is pulled upward to form a singular crystal. The third area is diameter control. Here, users need to control the diameter of the crystal (meniscus) as it is pulled upward.

Wafer Polishing

Wafer polishing applications typically doesn’t exceed temperatures above 35°C (95°F) because if the wafers are overheated, they will be destroyed. Because of this, temperature control is critical. Due to the nature of the polishing process, a non-contact solution is required. Options for this process include:

  • Spot sensors such as the Thermalert 4.0 family of pyrometers and Mi3-100 sensors – To get accurate temperature measurements, these 8-14 micron sensors can measure the temperature of the liquid coating the wafter.
After a single crystal ingot rod is produced, it is cut into 1mm-thick wafers and polished. In traditional polishing applications, wafers are placed into a slurry of liquid with rotating pads. temperature control is critical, and since the wafer is covered in a film of liquid, an 8-14 micron sensor is required in order to get an accurate temperature measurement – as the sensor can measure the temperature of the liquid coating the wafer.

Get the temperature data you need without touching the wafer.

CVD Processing (Chemical Vapor Deposition Processing)

Ensure product quality with targeted and continuous infrared temperature measurements.

Your ideal solution depends on your facility; however, there are multiple options that are ideal for integration with your process. In CVD processing, you can rely on products like the Thermalert 4.0 and other spot sensors to get the exact temperature every time.

During the chemical vapor deposition (CVD) process, wafers are placed in a chamber and continuously heated in various ways. Like other application in the semiconductor industry, your ideal solution depends on your facility as there are multiple options that can integrate with your process. For CVD processing, we recommend:

  • Spot sensors like the Thermalert 4.0 – When placed outside of the chamber, this pyrometer can continuously monitor the temperature of the wafer, ensuring nothing damages it.

Crystal Growing

Keep temperatures under your control with products designed to integrate with your process.

For crystal growing applications, multiple parts rely on temperature control. This includes:

The first place that relies on temperature control is the crucible. In this application, temperature can be controlled by monitoring the coils to measure the crucible temperature. The second spot that relies on temperature control is the melt temperature. Here, the polysilicon ingot begins to melt and is pulled upward to form a singular crystal. The third area is diameter control. Here, users need to control the diameter of the crystal (meniscus) as it is pulled upward.
  • Crucible Temperatures – Monitor coils by using a fixed, two-color pyrometer like the Endurance or Mi3-100 series, which can gather accurate temperature readings despite any reflections.
  • Melt Temperatures – The best tool for this application varies based on your process. In most instances, however, a two-color pyrometer like the Endurance is suitable for monitoring the polysilicon ingot as it melts.
  • Crystal Diameter Control – Ensure your final product is defect-free as it is pulled upward by using an Endurance Series or Mi3-100 pyrometer, depending on how your process is set up.

Note: Fluke Process Instruments also offers a fiber-optic Endurance sensor that may be suitable for your application. Overall, it comes down to how your process is setup, you needs and what can best integrate with your process.

Wafer Polishing

Get the temperature data you need without touching the wafer.

After a single crystal ingot rod is produced, it is cut into 1mm-thick wafers and polished. In traditional polishing applications, wafers are placed into a slurry of liquid with rotating pads. temperature control is critical, and since the wafer is covered in a film of liquid, an 8-14 micron sensor is required in order to get an accurate temperature measurement – as the sensor can measure the temperature of the liquid coating the wafer.

Wafer polishing applications typically doesn’t exceed temperatures above 35°C (95°F) because if the wafers are overheated, they will be destroyed. Because of this, temperature control is critical. Due to the nature of the polishing process, a non-contact solution is required. Options for this process include:

  • Spot sensors such as the Thermalert 4.0 family of pyrometers and Mi3-100 sensors – To get accurate temperature measurements, these 8-14 micron sensors can measure the temperature of the liquid coating the wafter.

CVD Processing

(Chemical Vapor Deposition Processing)

Ensure product quality with targeted and continuous infrared temperature measurements.

Your ideal solution depends on your facility; however, there are multiple options that are ideal for integration with your process. In CVD processing, you can rely on products like the Thermalert 4.0 and other spot sensors to get the exact temperature every time.

During the chemical vapor deposition (CVD) process, wafers are placed in a chamber and continuously heated in various ways. Like other application in the semiconductor industry, your ideal solution depends on your facility as there are multiple options that can integrate with your process. For CVD processing, we recommend:

  • Spot sensors like the Thermalert 4.0 – When placed outside of the chamber, this pyrometer can continuously monitor the temperature of the wafer, ensuring nothing damages it.

Atomic Layer Deposition

Ensure product quality with targeted edge-to-edge infrared temperature measurements.

Another form of CVD processing is atomic layer deposition (ALD), which bakes the reactants (or precursors) onto the wafer surface in sequential steps to create time-separated half reactions. The ALD process is also self-limiting, meaning that absorption stops once the entire wafer is covered by the precursor during each half reaction to ensure uniformity. your ideal solution depends on your process and how it is set up. For most ALD processes, we recommend the MP150 Linescanner, which can be installed on top of the reaction chamber to look through any slits or openings for non-uniformities or hot spots on the product. The MP150 Linescanner also includes the ability to alert you when temperatures are beyond set parameters and can be programmed to calculate average, maximum or minimum temperatures.

Like most applications in the circuit board manufacturing process, the right solution for you depends on your process and how it is setup. For atomic layer deposition (ALD) processes, temperature uniformity is critical in ensuring product quality and for most of these processes, we recommend:

  • The MP Linescanner Series – This solution can look through slits or openings at the top of reaction chamber and alert you of any non-uniformities, hot spots or when temperatures go beyond set parameters.

Molecular Beam Epitaxy

Control your process temperature while it evolves with non-contact infrared sensors.

This process takes place in an ultra-high vacuum, which reduces carbon contamination in the reaction chamber and can result in a grown crystal with an extremely high purity. Because the product is fixed, albeit rotating, an infrared sensor is a non-contact solution that can help ensure the final product has been heated appropriately. Unfortunately, in this application, we cannot measure the product temperature when the molecular beams are being fired, as the layer causes major emissivity changes that the sensor cannot handle.

After the crystal growth has been properly coated, ensuring that the final product has been heated appropriately is critical. Although we cannot measure product temperature while molecular beams are being fired, as the layer causes major emissivity changes that most sensors cannot handle, our solutions include:

  • Fixed infrared sensors – These non-contact solutions help ensure the final product has been correctly heated after the molecular beam epitaxy process is completed. Your unique process will dictate the right infrared sensor for you, which our team of experts can help determine after hearing more about your process.

Wafer Annealing

Our team of experts will work with you to fully understand your process and needs before suggesting a specific solution.

Once the CVD process is complete, the wafers must be heat treated through processes using quartz tube lamps, induction heating or resistance coating.

There are multiple different ways that the wafer can be heat treated depending on your process and facility – including quartz tube lamps, induction heating, and resistance coating. in some applications after the wafer its heated and cooled down slowly, which anneals any of the stress that may build up in the wafer. In this instance, most sites are concerned about uniform heating across the wafer, as if it overheats it may warp and be destroyed. How this process is performed can also impact the appropriate sensor for your process.
  • There is no “one-size-fits-all” solution beyond a non-contact infrared temperature sensor. At Fluke Process Instruments, we have the expertise and experience to help you identify the best pyrometer to monitor your wafter annealing process.

Reflow

Optimize your soldering process to minimize downtime and ensure minimal reject rates.

After the wafer (circuit board) has been etched, polished and heat treated, it must be cut out, soldered and undergo the reflow process. In this application, it is crucial that the product reaches and maintains a specific temperature through the entire process. If the product goes above or below the specified temperature threshold there will be problems with the circuit board, and it will be scrapped.

In any reflow process, it is critical that the product reaches and maintains a specific temperature to ensure minimal reject levels. Whether you’re using traditional wave and reflow soldering applications or using applications like vapor phase, selective soldering and rework stations, we recommend:

  • The Datapaq DP5 Reflow Tracker System – Optimize your soldering process by ensuring your reflow oven is performing in the best conditions and minimize defects with a system that provides validity for quality control and consistent traceability before moving further down the line.

Circuit Board Testing

Quickly detect faulty solder joints to ensure quality with fixed thermal imaging technology.

The last step in manufacturing a circuit board is testing final product to ensure everything is operating at 100%.  One way to quickly test circuit boards is with a fixed thermal camera, which provides near instant results once a voltage is applied to grid. The ThermoView TV40 Thermal Imaging Camera, for example, also provides infrared and visual sighting, allowing you to easily locate the problem area.

Ensure every final product is operating at 100% with near-instant results.

  • The ThermoView TV40 Thermal Imager can be used to detect faulty solder joints nearly instantly once a voltage is applied to the grid. You can also be alerted when specific areas are beyond your set parameters, helping to automate the process.